+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
 
Technical Insight

European GaAs fabs still forging ahead (GaAs Manufacturing)

When talk turns to GaAs fabs and foundries, the first names that spring to mind are usually American or Taiwanese. However, Europe is no sleepy backwater as Jon Newey discovers.
Europe has always been at the forefront of the worldwide research and development effort that has made GaAs a mainstream technology and continues to push devices to higher performance levels and into new applications. Despite the current malaise in the global microelectronics business, and especially in the markets that GaAs relies upon, the European GaAs industry, whilst feeling the pinch, seems to be coping. Compared with the US or Japan, overall production capacity is small. However, a number of facilities are producing a variety of products and developing new processes to keep up with emerging applications, with the aim of reaping the benefits when the market upturn arrives. What follows is a look at Europe s larger players, examining what they do and where they are heading in a fast changing industry. The focus is on manufacturing and omits much of the activity in small-scale production and those organizations that exist mainly as research and development bodies. Obvious examples might be university research departments, or ventures such as Opto+, a joint R&D effort between France Telecom and Alcatel working on devices for high-speed optical communications networks. Infineon Technologies As would be expected from Europe s largest volume producer of GaAs ICs, Infineon runs an extensive range of processes to address the mobile handset, broadband wireless access and automotive radar markets. In common with its competitors, Infineon runs well established MESFET processes and has qualified HBT and PHEMT processes in recent years, with others still in development. Production is now concentrated in a purpose-built 6 inch fab in Munich. The proprietary double Ge/Si contact implantation, one ohmic gate metal (DIOM) MESFET process is for production of devices for microwave applications up to 20 GHz. A variant of this, DIOM-low voltage mobile (DIOM-LVM), yields MMICs optimized for low-voltage mobile phone equipment with supply voltages down to 2.4 V. MESFET-based products include LNAs, and PAs for mobile phones, base stations, CATV and other applications. Although MESFETs form an important part of Infineon s product line, Martin Wimmers, senior manager for product marketing, explained, "The main technologies in the future for Infineon will be HEMT- and HBT-based GaAs products." InGaP/GaAs HBT processes have been established for mobile phone and Bluetooth applications. PHEMT process variants have been optimized for applications in mobile communications, millimeter-wave (2040 GHz) communications and automotive radar at 77 GHz. Infineon has also realized the potential of enhancement mode (E-mode) PHEMTs and has such a process in development. Moving to modules There is gathering momentum towards a more modular approach to components for wireless and fiber-optic applications. This has been evidenced by a number of recent mergers that have seen GaAs MMIC producers such as TriQuint merge with filter manufacturers such as Sawtek in order to position themselves better as suppliers to handset OEMs. Infineon has not ignored this trend, launching a tri-band MESFET-based PA module earlier this year. The module employed a low-temperature, co-fired ceramic substrate. "We believe that Infineon needs to offer 50 matched devices today and in the future because the market requires it. The base technology for this can be modules made with ceramics and/or multi-chip plastic packages," added Wimmers. PAs for Bluetooth applications already come in a flip-chip version with leadless bumps for mounting on ceramic modules (see ). Infineon s well established name in the GaAs IC business and its size have not made it immune to the market turbulence of the past year. This has not deflected the company from its chosen market and it still seems to have every confidence in the future. "We have put our GaAs business on a broad basis, and we still believe that it should be this way in future," said Wimmers. Certainly the drop in the mobile market has had an influence on Infineon, but the main growth area for its business is expected to come from this area. "Infineon has a well balanced product portfolio and very good system know-how, and we think we are well prepared to benefit from any upturn in the semiconductor market," he added. United Monolithic Semiconductors Formed in 1996 as a joint venture between Thomson CSF (now Thales) and EADS (formerly Daimler Chrysler Aerospace), United Monolithic Semiconductors (UMS) has become one of the primary suppliers in the markets on which it focuses. In fact, UMS claims to be the number-one supplier with 80% of the world market of GaAs devices in automotive applications. A recent market analysis report indicates that this is a good position to be in (see p31). It predicts that automotive radar is set to become the fastest growing millimeter-wave market. The company is split over two sites in Ulm, Germany and Orsay, France. The German facility performs front-end processing on a 4 inch line, with 10 000 wafer starts per year. The French plant performs back-side processing, including wafer thinning, via hole etch, metallization and wafer level test. UMS s standard products account for 55% of all business, with the remainder coming from its foundry service. Over half of all its business is done within Europe. UMS has three main markets, namely wireless telecom networks (local multipoint distribution services [LMDS], multichannel multipoint distribution services [MMDS] and VSAT), automotive (collision avoidance radar, keyless entry systems and short-range communications for automated road tolling) and optical communications (Gigabit Ethernet and OC-192 and OC-768). PHEMT processes run in single-recess and double-recess versions for LNAs and high-power applications respectively. A new 0.25 m gate length, power PHEMT processes is under development to increase breakdown voltage (BVds) from 12 to 18 V. Latest results have shown that BVds is reproducibly above 20 V. The first products guaranteeing BVds of 18 V from this process will be available early next year. The first MHEMT MMIC demonstrations are expected early next year with process qualification scheduled for late 2002. An InGaP/GaAs HBT process is in an advanced stage of development for high-power amplifiers and VCOs operating up to the Ku band. The first products are expected to be available early in 2002. Packaging and modules to cut costs In common with others, UMS is attempting to position itself better in the value chain by moving to module production and incorporating packaged MMICs into RF subsystems (). The first goal of this activity is to offer a complete family of packaged MMICs for 2628 GHz radio links for wireless network applications such as LMDS. The packaged chipset will include all the necessary circuits for manufacturing modules using surface mount technology, avoiding the cost to the customer of wire-bonding bare MMICs in a clean-room environment. "We strongly believe that this will turn out to be a real breakthrough, enabling us to reduce very significantly the costs at the system module assembly level and perhaps enabling the real take-off of millimeter-wave telecommunication systems in high volumes," said Dominique Pons, director of R&D and strategic marketing. The cost of modules can be further reduced by using UMS s self-biasing MMIC technology (, p57). This removes the need for further tuning after module assembly and eliminates up to eight additional passive components per MMIC. Flip-chip mounting using solder bumps is seen by UMS as a way to reduce assembly costs, though considerable effort is still required to make this a high-volume production technology. UMS is not sitting idly as other technologies nudge into the traditional territory occupied by GaAs. A program is underway to develop high-power devices using the GaN/AlGaN material system. GaN HEMT technology is firmly embedded in the UMS technology roadmap, though technological challenges and uncertain market demand mean commercialization of the process is unlikely before 2004. "We definitely believe this is an important topic for the future and want to be ready in time," explained Pons. Marconi Optical Components Activity in electronic materials has a long history at the Caswell, UK site, beginning in 1940 when the Plessey Company set up a development laboratory. Between 1940 and the present there have been a number of divestments and mergers between companies resulting in the activities at Caswell changing name and ownership. This activity includes the merger of GEC with Marconi and later Plessey. In 1999, British Aerospace (BAE) bought GEC s defense electronics business to form BAE Systems. The non-defense activities came within the new publicly traded Marconi company. The current business, reshaped to focus on components for optical networks and trading as Marconi Optical Components (MOC), came into being in November 2000. Despite the company s new name, GaAs MMICs for the wireless market currently provide MOC with an underpinning technology and sales base. Processes are run on both 6 and 3 inch lines and include PHEMT, HBT and MESFET, with a MHEMT process in development. Device wafers are provided by in-house MBE and MOVPE activity. Standard products include amplifiers, switches and broadband attenuators. The target markets for these are wireless local-area networks and MMDS. A 0.5 m gate length MESFET process yields amplifiers for frequencies up to 20 GHz. For higher frequencies, a 0.25 m mushroom gate PHEMT process can operate at speeds in excess of 40 GHz. The MHEMT process in development is targeted at applications up to 100 GHz. MOC s products feed the parent company s wireless and optical communications business requirements as well as other customers. As the new name suggests, the market on which the company intends to concentrate most effort in the future is components for optical networks. All new processes and products are aimed at this market. As well as InP-based electronic and optoelectronic components (lasers and photodetectors for example), GaAs devices are also needed in the so-called physical layer of optical networks. MOC is also looking to GaAs as the material of choice for optical modulators for 40 Gbit/s applications. This is very much a start-up activity for MOC. Having a product base that is not yet established has shielded the company from the recent downturn in this market that has so affected established operators. Products are now sampling to internal customers at the system level within Marconi, and the company hopes to be in a good position to capitalize when the market upturn comes. Alenia Marconi Systems This organization based in Rome, Italy is a joint venture formed by the Italian company Alenia (part of the Finmeccanica group) and GEC-Marconi Systems (the defense electronics unit of GEC, now part of BAE Systems). The role of Alenia Marconi Systems (AMS s) GaAs activity is one of R&D and small-volume manufacture. AMS s production is almost entirely for internal consumption and feeds the defense and aerospace systems activities further downstream. Its primary products are MMICs for radar transmit/receive modules, but a few niches are also served such as GaAs-based detectors for X-ray and high-energy physics. The two main production processes are for HFETs and ion-implanted MESFETs, backed up by circuit design and packaging capabilities. These processes run on a 3 inch line, with conversion to 4 inch to begin soon. The next generation of radar will require broadband MMICs, and it is here that much activity is concentrated at the moment. PHEMT and HBT processes are being evaluated for this high-power application and a research program for GaN- and SiC-based devices is under way for future generations of high-power devices. At high powers, packaging becomes a big issue. AMS is working on a proprietary AuSn bump-bonding technology for improved thermal management in high-power, high-temperature environments. Filtronic A relative newcomer to GaAs device production, Filtronic Compound Semiconductor signaled its intentions in this area when it purchased the Litton Solid State plant in Santa Clara, CA. This gave it an established design, test and relatively small-scale manufacturing facility. In 2000 the company acquired a former DRAM production facility in Newton Aycliffe, UK, and set about converting this to provide a 6 inch GaAs process line for large-volume manufacturing. Market conditions and a phased plan for start-up mean the Newton Aycliffe site is by no means fully utilized. The current first phase of operation has seen about one-third of the available area being used. This gives a possible 30 000 wafer starts per year, with market conditions determining if and when the remaining capacity will be used. An increase to 50 000 wafer starts could be made without any significant capital expenditure. MBE is done in house using a 7 .6 inch wafer reactor. The line produces a range of standard products including PHEMT-based switches and LNAs, with PHEMT FETs and PAs for GSM applications currently under development. A design and foundry service is also available. The PHEMT technology is aimed at wireless and fiber-optic communications applications. Two depletion mode (D-mode) PHEMT processes have now been released. The first is a single recess process for ultra-low-noise devices, and the second is a double recess process for higher-power devices. A 0.5 m E-mode PHEMT process has also been released. Control functions such as switches made with this technology offer performance benefits over MESFET technology that will be needed for next-generation multimode handsets. E-mode PHEMTs are also competing with HBTs for the PA function in handsets. Being established in the filter and antenna business, Filtronic is well positioned to take advantage of the trend towards integrating components into modules. The company has begun sampling a receiver module that combines the antenna, receiver filter and LNA MMIC. "Filtronic fundamentally believes in the move to higher levels of integration and have taken this approach in many of our product areas," said Christopher Snowden, CEO of FCS. The recent announcement of alliances with BAE Systems and M/A-COM (see p12) has brought a much needed boost to FCS. This should help ease the plant into profitability next year, and perhaps answer those who have questioned Filtronic s wisdom in remaining in the business of components for handsets, given the market conditions and well established competition. OMMIC Based near Paris, France, OMMIC is an independent but wholly owned subsidiary of Philips and was formerly known as Philips Microwave Limeil. The company provides a GaAs MMIC foundry service to Philips and external customers, as well as supplying 4 inch and 6 inch epiwafers from two MOVPE reactors. OMMIC is now converting production to 6 inch, with mid-2003 as the target for completion. OMMIC has a range of standard products based on MESFET and PHEMT for the wireless and fiber-optic communications markets. These cover the 2.5 40 Gbit/s data rates and include digital ICs, transimpedance amplifiers, laser drivers and modulators, and LNAs and PAs for LMDS, cellular base stations and satellite communications. The MESFET designs are used on older-generation products and are gradually being phased out, with all new work being done on PHEMT processes. The bulk of OMMIC s business is in the standard products and contracts for low- to mid-volume ASICs. OMMIC was amongst the first to introduce E- and D-mode PHEMT processes. These give gate lengths of 0.18 m and yield MMICs with ft values up to 73 GHz. Further HEMT processes are under development, including a 0.18 m gate length process using a high indium content E/D-mode HEMT structure on both GaAs and InP substrates. This is designed to give an ft of > 150 GHz, thus giving operating frequencies suitable for 40 Gbit/s (OC-768) optical network applications. A GaAs MHEMT process is at an advanced stage of development and is scheduled to be made available later in 2001. Fraunhofer IAF This branch of the Fraunhofer Society concentrates on compound semiconductor materials and devices. Predominantly a contract researcher, Fraunhofer IAF also does small-volume manufacturing of MMICs and optoelectronic devices based on GaAs and other compound semiconductors (see Compound Semiconductor February 2001, p31). Though processing is done on 4 inch wafers, a custom MBE service is available for 6 inch wafers in small to medium volumes. Designing circuits based around PHEMT processes gives MMIC PAs operating at up to 60 GHz for wireless communications. Infineon and UMS are amongst those working with Fraunhofer IAF to develop MHEMT processes. This will avoid the need for expensive, and as yet small-diameter, InP substrates. A metamorphic buffer allows devices to be grown on relatively cheap 6 inch GaAs wafers. Though InP substrates offer better thermal conductivity for high-power applications, the move to flip-chip packaging and bump bonding should offer sufficient thermal management for MHEMTs on GaAs. A number of gate-length MHEMT processes are being developed, with the 0.15 m process giving a 13.5 dB gain at 94 GHz with an extrapolated Fmax of 450 GHz. An E-mode MHEMT process is also being developed with the switching elements of fiber-optic communications networks in mind. Conclusion Across Europe, the total GaAs activity may look relatively insignificant at first glance. Infineon aside, Europe is a little different to the rest of the world. The GaAs activity often forms a small part of the business in large companies such as Philips. This contrasts with the US where names synonymous with GaAs device manufacture such as RFMD and TriQuint have their origins as stock market-floated spin-offs from big parent companies such as TRW. However, there is strength in the depth and variety of activity, backed by a rolling program of research leading to new processes designed to meet the demands of emerging applications. The European GaAs industry has survived until now because it has been both innovative and competitive. Looking ahead, Europe seems well placed to benefit from the technologies under development as they move into the mainstream. 40 Gbit/s optical networks are a market where European GaAs device manufacturers could do well.
×
Search the news archive

To close this popup you can press escape or click the close icon.
×
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • View all news 22645 more articles
Logo
×
Register - Step 1

You may choose to subscribe to the Compound Semiconductor Magazine, the Compound Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: