+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
 
News Article

First EpiCurve®Triple TT sold!

LayTec is proud to announce the sale of an EpiCurve®Triple TT to a leading LED manufacturer in the USA.

The in-situ system will be used for GaN LED production in a multiple-ring MOCVD reactor. The unique combination of a Curve® optical head for wafer bowing control and 3 EpiTT heads for temperature and reflectance measurements (Fig. 1) will be the first metrology system of this kind.



EpiCurve®Triple TT is specially designed for application in huge showerhead MOCVD reactors like CRIUS for GaN LED production and GaN/Si applications. Like in LayTec's EpiTriple TT, the three EpiTT heads of EpiCurve®Triple TT measure reflectance and emissivity-corrected pyrometry at 3 different radial positions and enable an absolute temperature control of the grow on all heating zones of the succeptor. The accuracy of the temperature measurement after calibration by LayTec's new AbsoluT tool is better than ±1 K. The online growth-rate analysis with the accuracy up to ±0.001nm/s is, of course, also possible.





Additionally to this, the Curve® head is an ideal tool for strain control and wafer bow measurements. It helps to minimize bowing-related non-uniformities in GaN LED production on 4" and larger wafers. For further information please contact info@laytec.de
×
Search the news archive

To close this popup you can press escape or click the close icon.
×
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • View all news 22645 more articles
Logo
×
Register - Step 1

You may choose to subscribe to the Compound Semiconductor Magazine, the Compound Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: